Does somebody may show me the AoB's to make my own HEX with CE6.1 pls?

Tks